Perl-Lint [master](925641e662) FAIL

Compare: https://github.com/moznion/Perl-Lint/compare/4e2443f029ca...925641e6620a

Elapsed Time: 7 sec

  • 925641e moznion: Implement RequireEndWithOne
  • 20a4300 moznion: Implement ProhibitTies
  • e2844aa moznion: Implement ProhibitFormats

_ 2014-06-17T01:16:25 [INFO] [master] ukigumo-client 0.34
_ 2014-06-17T01:16:25 [INFO] [master] start testing : https://github.com/moznion/Perl-Lint master
_ 2014-06-17T01:16:25 [INFO] [master] working directory : /tmp/Perl-Lint/master
_ 2014-06-17T01:16:25 [INFO] [master] run vc : Ukigumo::Client::VC::Git
_ 2014-06-17T01:16:25 [INFO] [master] workdir is /tmp/Perl-Lint/master
_ 2014-06-17T01:16:25 [INFO] [master] command: git pull -f origin master
_ From https://github.com/moznion/Perl-Lint
_  * branch            master     -> FETCH_HEAD
_    4e2443f..925641e  master     -> origin/master
_ Updating 4e2443f..925641e
_ Fast-forward
_  lib/Perl/Lint/Constants/Type.pm                    |   6 +-
_  .../Lint/Evaluator/Miscellanea/ProhibitFormats.pm  |  38 ++++++
_  .../Lint/Evaluator/Miscellanea/ProhibitTies.pm     |  34 +++++
_  .../Lint/Evaluator/Modules/RequireEndWithOne.pm    |  58 +++++++++
_  t/Evaluate/Miscellanea/prohibit_formats.t          |  55 ++++++++
_  t/Evaluate/Miscellanea/prohibit_ties.t             |  51 ++++++++
_  t/Evaluate/Module/require_end_with_one.t           | 138 +++++++++++++++++++++
_  7 files changed, 379 insertions(+), 1 deletion(-)
_  create mode 100644 lib/Perl/Lint/Evaluator/Miscellanea/ProhibitFormats.pm
_  create mode 100644 lib/Perl/Lint/Evaluator/Miscellanea/ProhibitTies.pm
_  create mode 100644 lib/Perl/Lint/Evaluator/Modules/RequireEndWithOne.pm
_  create mode 100644 t/Evaluate/Miscellanea/prohibit_formats.t
_  create mode 100644 t/Evaluate/Miscellanea/prohibit_ties.t
_  create mode 100644 t/Evaluate/Module/require_end_with_one.t
_ 2014-06-17T01:16:27 [INFO] [master] command: git submodule init
_ 2014-06-17T01:16:27 [INFO] [master] command: git submodule update
_ 2014-06-17T01:16:27 [INFO] [master] command: git clean -dxf
_ Removing extlib
_ 2014-06-17T01:16:27 [INFO] [master] command: git status
_ # On branch master
_ nothing to commit, working directory clean
_ 2014-06-17T01:16:27 [INFO] [master] [before_install] cpanm -L $HOME/.ukigumo/perl-lint/extlib --installdeps -n .
_ 2014-06-17T01:16:28 [INFO] [master] [install] ln -s $HOME/.ukigumo/perl-lint/extlib ./extlib
_ 2014-06-17T01:16:28 [INFO] [master] run executor : Ukigumo::Client::Executor::Command
_ 2014-06-17T01:16:28 [INFO] [master] command: prove -lrv -Iextlib/lib/perl5 t
_ 
_ [01:16:28] ==> t/00_compile.t <==========================================================
_ 
_   use Perl::Lint;
_ 
_ ok
_ ok       68 ms
_ 
_ [01:16:28] ==> t/Evaluate/BuiltinFunctions/prohibit_void_map.t <=========================
_ 
_   Basic passing
_   Basic failure
_   Chained void map
_   not builtin map
_   Subscript map (derived from Perl::Critic RT \#79289)
_ 
_ ok
_ ok      101 ms
_ 
_ [01:16:28] ==> t/Evaluate/ClassHierarchies/prohibit_autoloading.t <======================
_ 
_   Basic passing
_   Empty AUTOLOAD()
_   AUTOLOAD() with code
_ 
_ ok
_ ok       91 ms
_ 
_ [01:16:28] ==> t/Evaluate/ClassHierarchies/prohibit_explicit_isa.t <=====================
_ 
_   Basic passing
_   Basic failure
_ 
_ ok
_ ok       89 ms
_ 
_ [01:16:28] ==> t/Evaluate/ClassHierarchies/prohibit_one_arg_bless.t <====================
_ 
_   Basic passing
_   Basic failure
_ 
_ ok
_ ok       92 ms
_ 
_ [01:16:28] ==> t/Evaluate/ErrorHandling/require_carping.t <==============================
_ 
_   Unspectacular die
_         L23: is scalar @$violations, 3;
_   Unspectacular warn
_         L37: is scalar @$violations, 3;
_   Carping
_         L51: is scalar @$violations, 0;
_   No croaking
_         L60: is scalar @$violations, 1;
_   Complain about cases without arguments
_         L70: is scalar @$violations, 2;
_   Complain about cases with empty list arguments
_         L80: is scalar @$violations, 2;
_   Complain about cases with non-string arguments
_         L95: is scalar @$violations, 7;
_   Don't complain if message ends with "\n" in double quotes
_         L105: is scalar @$violations, 0;
_   Don't complain if message ends with literal "\n"
_         L121: is scalar @$violations, 0;
_   Don't complain if message is a heredoc, which must end in "\n"
_         L136: is scalar @$violations, 0;
_   Complain if message ends with "\n" in single quotes
_         L146: is scalar @$violations, 2;
_   Don't complain if message ends with "\n" in interpolated quotelike operator
_         L157: is scalar @$violations, 0;
_   Complain if message ends with "\n" in non-interpolated quotelike operator
_         L168: is scalar @$violations, 3;
_   Don't complain if message is a list with a last element that ends with "\n"
_         L180: is scalar @$violations, 0;
_   Don't complain if message is a parenthesised list with a last element that ends with "\n"
_         L192: is scalar @$violations, 0;
_   Don't complain if message is a list with "sub" lists with a last (flattened list) element that ends with "\n"
_         L222: is scalar @$violations, 0;
_   Complain if message is a list with "sub" lists with a last (flattened list) element that doesn't end with "\n"
_         L253: is scalar @$violations, 10;
_   Don't complain if message is a concatenation with a last element that ends with "\n"
_         L263: is scalar @$violations, 0;
_   Don't complain if followed by postfix operator and otherwise valid
_         L298: is scalar @$violations, 0;
_   Complain if followed by postfix operator with "\n" ending last operand and otherwise invalid.
_         L333: is scalar @$violations, 24;
_   Complain if message has a last element that ends with "\n" but has an operation in front
_         L350: is scalar @$violations, 8;
_   Complain if config doesn't allow newlines.
_         L363: is scalar @$violations, 1;
_   Complain if in main:: and option not set
_         L374: is scalar @$violations, 1;
_   Don't complain if in main:: and option set (RT #56619)
_         L389: is scalar @$violations, 0;
_   Don't complain if implicitly in main:: and option set
_         L402: is scalar @$violations, 0;
_   Complain if in main:: but in subroutine
_         L417: is scalar @$violations, 1;
_   Complain if in main:: but in anonymous subroutine
_         L432: is scalar @$violations, 1;
_   Don't complain about obvious uses of references because they're likely being used as exception objects.
_         L466: is scalar @$violations, 0;
_ 
_ ok
_ ok      132 ms
_ 
_ [01:16:28] ==> t/Evaluate/ErrorHandling/require_checking_return_value_of_eval.t <========
_ 
_   Basic Failure
_   Assignment
_   Assignment with comma separated statements.
_   if
_   foreach
_   C-style for with eval in condition or assignment
_   C-style for with eval in initialization or increment with no assignment
_   while
_   Postfix if
_   Ternary
_   Postfix foreach
_   First value in comma-separated list in condition
_   Last value in comma-separated list in condition
_   Last value in comma-separated list that isn't the last element in another list in condition
_   "Proper" handling of return value
_   A grep is a check -- RT \#69489
_ 
_ ok
_ ok      114 ms
_ 
_ [01:16:29] ==> t/Evaluate/Miscellanea/prohibit_formats.t <===============================
_ 
_   standard failures
_   basic passes
_ 
_ ok
_ ok       91 ms
_ 
_ [01:16:29] ==> t/Evaluate/Miscellanea/prohibit_ties.t <==================================
_ 
_   basic failures
_   basic passes
_ 
_ ok
_ ok       92 ms
_ 
_ [01:16:29] ==> t/Evaluate/Module/prohibit_automatic_exportation.t <======================
_ 
_   Basic failure, "our @EXPORT;"
_   Basic failure, "use vars @EXPORT;"
_   Basic failure, "@PACKAGE::EXPORT;"
_   Basic pass, "our @EXPORT_OK;"
_   Basic pass, "use vars %EXPORT_TAGS;"
_   Basic pass, "@PACKAGE::EXPORT_OK;"
_   Basic pass, "use vars '@EXPORT_OK';"
_   Basic pass, "use vars '%EXPORT_TAGS';"
_   No exporting at all
_   No special variable to export
_ 
_ ok
_ ok      100 ms
_ 
_ [01:16:29] ==> t/Evaluate/Module/prohibit_conditional_use_statements.t <=================
_ 
_   pass, simple use
_   pass, enclosing bare block
_   pass, enclosing labeled bare block
_   pass, enclosing subroutine
_   pass, enclosing begin block
_   pass, enclosing do block
_   pass, enclosing string eval block
_   pass, enclosing if statement in string eval
_   pass, enclosing string eval in if statement
_   pass, simple require
_   pass, require in enclosing bare block
_   pass, require in enclosing labeled bare block
_   pass, require in enclosing subroutine
_   pass, require in enclosing begin block
_   pass, require in enclosing do block
_   pass, require in enclosing do following logical or
_   pass, require in enclosing do following logical and
_   pass, require in enclosing do following binary or
_   pass, require in enclosing do following binary and
_   pass, require enclosing string eval block
_   pass, require in enclosing if statement in string eval
_   pass, require in enclosing string eval in if statement
_   pass, require in enclosing else statement
_   pass, require in enclosing elsif statement
_   pass, require in enclosing while statement
_   pass, require in enclosing continue statement
_   pass, require in enclosing unless statement
_   pass, require in enclosing until statement
_   pass, require in enclosing c-style for statement
_   pass, require in enclosing for statement
_   pass, require in enclosing foreach statement
_   pass, require in enclosing if statement in begin block
_   pass, require in enclosing do-while block
_   pass, require in enclosing do-until block
_   pass, require in enclosing do-unless block
_   pass, require in enclosing do-for block
_   pass, require in enclosing do-foreach block
_   pass, require in enclosing do-if block
_   pass, simple pragma
_   pass, pragma in enclosing bare block
_   pass, pragma in enclosing labeled bare block
_   pass, pragma in enclosing subroutine
_   pass, pragma in enclosing begin block
_   pass, pragma in enclosing do block
_   pass, pragma in enclosing do following logical or
_   pass, pragma in enclosing do following logical and
_   pass, pragma in enclosing do following binary or
_   pass, pragma in enclosing do following binary and
_   pass, pragma enclosing string eval block
_   pass, pragma in enclosing if statement in string eval
_   pass, pragma in enclosing string eval in if statement
_   pass, pragma in enclosing else statement
_   pass, pragma in enclosing elsif statement
_   pass, pragma in enclosing while statement
_   pass, pragma in enclosing continue statement
_   pass, pragma in enclosing unless statement
_   pass, pragma in enclosing until statement
_   pass, pragma in enclosing c-style for statement
_   pass, pragma in enclosing for statement
_   pass, pragma in enclosing foreach statement
_   pass, pragma in enclosing if statement in begin block
_   pass, pragma in enclosing do-while block
_   pass, pragma in enclosing do-until block
_   pass, pragma in enclosing do-unless block
_   pass, pragma in enclosing do-for block
_   pass, pragma in enclosing do-foreach block
_   pass, pragma in enclosing do-if block
_   failure, enclosing else statement
_   failure, enclosing elsif statement
_   failure, enclosing while statement
_   failure, enclosing continue statement
_   failure, enclosing unless statement
_   failure, enclosing until statement
_   failure, enclosing c-style for statement
_   failure, enclosing for statement
_   failure, enclosing foreach statement
_   failure, enclosing if statement in begin block
_   failure, enclosing eval statement
_   failure, enclosing if statement in eval
_   failure, enclosing do following logical or
_   failure, enclosing do following logical and
_   failure, enclosing do following binary or
_   failure, enclosing do following binary and
_   failure, enclosing do-while block
_   failure, enclosing do-until block
_   failure, enclosing do-unless block
_   failure, enclosing do-for block
_   failure, enclosing do-foreach block
_   failure, enclosing do-if block
_ 
_ ok
_ ok      192 ms
_ 
_ [01:16:29] ==> t/Evaluate/Module/prohibit_evil_modules.t <===============================
_ 
_   Deprecated Class::ISA
_   Deprecated Pod::Plainer
_   Deprecated Shell
_   Deprecated Switch
_   2 evil modules
_   No evil modules
_   2 evil modules, with pattern matching
_   More evil modules, with mixed config
_   More evil modules, with more pattern matching
_   Pattern matching exceptions
_   Providing the description for modules, no regular expressions.
_   Providing the description for modules, regular expressions.
_   Providing the description for modules, no regular expressions.
_   Providing the description for modules, regular expressions.
_ 
_ ok
_ ok      107 ms
_ 
_ [01:16:29] ==> t/Evaluate/Module/prohibit_multiple_packages.t <==========================
_ 
_   basic pass, no package
_   basic failure
_   basic pass, with code
_ 
_ ok
_ ok       96 ms
_ 
_ [01:16:29] ==> t/Evaluate/Module/require_bareword_includes.t <===========================
_ 
_   basic pass, incomplete statements
_   basic failures
_   basic passes with module names
_ 
_ ok
_ ok      108 ms
_ 
_ [01:16:29] ==> t/Evaluate/Module/require_end_with_one.t <================================
_ 
_   no code, no need for a one
_   basic pass
_   pass with __END__
_   pass with __DATA__
_   pass with comments at the end
_   pass with comment on the same line
_   pass with extra space
_   pass with more spacing
_   pass with 1 on last line, but not last statement
_   fails with 0
_   fail with closing sub
_ *** glibc detected *** /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3: free(): invalid next size (fast): 0x0000000001c8cea0 ***
_ ======= Backtrace: =========
_ /lib64/libc.so.6[0x307b276166]
_ /lib64/libc.so.6[0x307b278c93]
_ /tmp/Perl-Lint/master/extlib/lib/perl5/x86_64-linux/auto/Compiler/Lexer/Lexer.so(_ZN5Lexer12clearContextEv+0x28)[0x7f64d3fe3758]
_ /tmp/Perl-Lint/master/extlib/lib/perl5/x86_64-linux/auto/Compiler/Lexer/Lexer.so(+0x1c7fc)[0x7f64d3fe17fc]
_ /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3(Perl_pp_entersub+0x618)[0x497ac8]
_ /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3(Perl_runops_standard+0x13)[0x4961a3]
_ /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3(perl_run+0x2c8)[0x434878]
_ /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3(main+0x10c)[0x41e10c]
_ /lib64/libc.so.6(__libc_start_main+0xfd)[0x307b21ed1d]
_ /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3[0x41df39]
_ ======= Memory map: ========
_ 00400000-0055a000 r-xp 00000000 fc:03 1708854                            /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3
_ 00759000-0075e000 rw-p 00159000 fc:03 1708854                            /home/moznion/.plenv/versions/5.16.3/bin/perl5.16.3
_ 0075e000-0075f000 rw-p 00000000 00:00 0 
_ 01673000-01ca8000 rw-p 00000000 00:00 0                                  [heap]
_ 307ae00000-307ae20000 r-xp 00000000 fc:03 3276920                        /lib64/ld-2.12.so
_ 307b01f000-307b020000 r--p 0001f000 fc:03 3276920                        /lib64/ld-2.12.so
_ 307b020000-307b021000 rw-p 00020000 fc:03 3276920                        /lib64/ld-2.12.so
_ 307b021000-307b022000 rw-p 00000000 00:00 0 
_ 307b200000-307b38b000 r-xp 00000000 fc:03 3277005                        /lib64/libc-2.12.so
_ 307b38b000-307b58a000 ---p 0018b000 fc:03 3277005                        /lib64/libc-2.12.so
_ 307b58a000-307b58e000 r--p 0018a000 fc:03 3277005                        /lib64/libc-2.12.so
_ 307b58e000-307b58f000 rw-p 0018e000 fc:03 3277005                        /lib64/libc-2.12.so
_ 307b58f000-307b594000 rw-p 00000000 00:00 0 
_ 307ba00000-307ba02000 r-xp 00000000 fc:03 3276896                        /lib64/libdl-2.12.so
_ 307ba02000-307bc02000 ---p 00002000 fc:03 3276896                        /lib64/libdl-2.12.so
_ 307bc02000-307bc03000 r--p 00002000 fc:03 3276896                        /lib64/libdl-2.12.so
_ 307bc03000-307bc04000 rw-p 00003000 fc:03 3276896                        /lib64/libdl-2.12.so
_ 307be00000-307be83000 r-xp 00000000 fc:03 3277212                        /lib64/libm-2.12.so
_ 307be83000-307c082000 ---p 00083000 fc:03 3277212                        /lib64/libm-2.12.so
_ 307c082000-307c083000 r--p 00082000 fc:03 3277212                        /lib64/libm-2.12.so
_ 307c083000-307c084000 rw-p 00083000 fc:03 3277212                        /lib64/libm-2.12.so
_ 307d200000-307d271000 r-xp 00000000 fc:03 3277450                        /lib64/libfreebl3.so
_ 307d271000-307d470000 ---p 00071000 fc:03 3277450                        /lib64/libfreebl3.so
_ 307d470000-307d472000 r--p 00070000 fc:03 3277450                        /lib64/libfreebl3.so
_ 307d472000-307d473000 rw-p 00072000 fc:03 3277450                        /lib64/libfreebl3.so
_ 307d473000-307d477000 rw-p 00000000 00:00 0 
_ 307da00000-307da02000 r-xp 00000000 fc:03 3282188                        /lib64/libutil-2.12.so
_ 307da02000-307dc01000 ---p 00002000 fc:03 3282188                        /lib64/libutil-2.12.so
_ 307dc01000-307dc02000 r--p 00001000 fc:03 3282188                        /lib64/libutil-2.12.so
_ 307dc02000-307dc03000 rw-p 00002000 fc:03 3282188                        /lib64/libutil-2.12.so
_ 307de00000-307de07000 r-xp 00000000 fc:03 3277451                        /lib64/libcrypt-2.12.so
_ 307de07000-307e007000 ---p 00007000 fc:03 3277451                        /lib64/libcrypt-2.12.so
_ 307e007000-307e008000 r--p 00007000 fc:03 3277451                        /lib64/libcrypt-2.12.so
_ 307e008000-307e009000 rw-p 00008000 fc:03 3277451                        /lib64/libcrypt-2.12.so
_ 307e009000-307e037000 rw-p 00000000 00:00 0 
_ 307ea00000-307ea16000 r-xp 00000000 fc:03 3282198                        /lib64/libnsl-2.12.so
_ 307ea16000-307ec15000 ---p 00016000 fc:03 3282198                        /lib64/libnsl-2.12.so
_ 307ec15000-307ec16000 r--p 00015000 fc:03 3282198                        /lib64/libnsl-2.12.so
_ 307ec16000-307ec17000 rw-p 00016000 fc:03 3282198                        /lib64/libnsl-2.12.so
_ 307ec17000-307ec19000 rw-p 00000000 00:00 0 
_ 3792400000-37924e8000 r-xp 00000000 fc:03 6029965                        /usr/lib64/libstdc++.so.6.0.13
_ 37924e8000-37926e8000 ---p 000e8000 fc:03 6029965                        /usr/lib64/libstdc++.so.6.0.13
_ 37926e8000-37926ef000 r--p 000e8000 fc:03 6029965                        /usr/lib64/libstdc++.so.6.0.13
_ 37926ef000-37926f1000 rw-p 000ef000 fc:03 6029965                        /usr/lib64/libstdc++.so.6.0.13
_ 37926f1000-3792706000 rw-p 00000000 00:00 0 
_ 7f64d39a7000-7f64d39ab000 r-xp 00000000 fc:03 1968510                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/IO/IO.so
_ 7f64d39ab000-7f64d3baa000 ---p 00004000 fc:03 1968510                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/IO/IO.so
_ 7f64d3baa000-7f64d3bab000 rw-p 00003000 fc:03 1968510                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/IO/IO.so
_ 7f64d3bab000-7f64d3bae000 r-xp 00000000 fc:03 1968500                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/Fcntl/Fcntl.so
_ 7f64d3bae000-7f64d3dae000 ---p 00003000 fc:03 1968500                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/Fcntl/Fcntl.so
_ 7f64d3dae000-7f64d3daf000 rw-p 00003000 fc:03 1968500                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/Fcntl/Fcntl.so
_ 7f64d3daf000-7f64d3dc5000 r-xp 00000000 fc:03 3277230                    /lib64/libgcc_s-4.4.7-20120601.so.1
_ 7f64d3dc5000-7f64d3fc4000 ---p 00016000 fc:03 3277230                    /lib64/libgcc_s-4.4.7-20120601.so.1
_ 7f64d3fc4000-7f64d3fc5000 rw-p 00015000 fc:03 3277230                    /lib64/libgcc_s-4.4.7-20120601.so.1
_ 7f64d3fc5000-7f64d3ff3000 r-xp 00000000 fc:03 3807120                    /home/moznion/.ukigumo/perl-lint/extlib/lib/perl5/x86_64-linux/auto/Compiler/Lexer/Lexer.so
_ 7f64d3ff3000-7f64d41f3000 ---p 0002e000 fc:03 3807120                    /home/moznion/.ukigumo/perl-lint/extlib/lib/perl5/x86_64-linux/auto/Compiler/Lexer/Lexer.so
_ 7f64d41f3000-7f64d4207000 rw-p 0002e000 fc:03 3807120                    /home/moznion/.ukigumo/perl-lint/extlib/lib/perl5/x86_64-linux/auto/Compiler/Lexer/Lexer.so
_ 7f64d4207000-7f64d4211000 r-xp 00000000 fc:03 3538977                    /home/moznion/.ukigumo/perl-lint/extlib/lib/perl5/x86_64-linux/auto/List/Util/Util.so
_ 7f64d4211000-7f64d4410000 ---p 0000a000 fc:03 3538977                    /home/moznion/.ukigumo/perl-lint/extlib/lib/perl5/x86_64-linux/auto/List/Util/Util.so
_ 7f64d4410000-7f64d4411000 rw-p 00009000 fc:03 3538977                    /home/moznion/.ukigumo/perl-lint/extlib/lib/perl5/x86_64-linux/auto/List/Util/Util.so
_ 7f64d4411000-7f64d4419000 r-xp 00000000 fc:03 3936141                    /home/moznion/var/www/Ukigumo-Agent/local/lib/perl5/x86_64-linux/auto/Encode/Encode.so
_ 7f64d4419000-7f64d4619000 ---p 00008000 fc:03 3936141                    /home/moznion/var/www/Ukigumo-Agent/local/lib/perl5/x86_64-linux/auto/Encode/Encode.so
_ 7f64d4619000-7f64d461a000 rw-p 00008000 fc:03 3936141                    /home/moznion/var/www/Ukigumo-Agent/local/lib/perl5/x86_64-linux/auto/Encode/Encode.so
_ 7f64d461a000-7f64d4620000 r-xp 00000000 fc:03 1968481                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/PerlIO/encoding/encoding.so
_ 7f64d4620000-7f64d481f000 ---p 00006000 fc:03 1968481                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/PerlIO/encoding/encoding.so
_ 7f64d481f000-7f64d4820000 rw-p 00005000 fc:03 1968481                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/PerlIO/encoding/encoding.so
_ 7f64d4820000-7f64d4822000 r-xp 00000000 fc:03 1968452                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/I18N/Langinfo/Langinfo.so
_ 7f64d4822000-7f64d4a22000 ---p 00002000 fc:03 1968452                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/I18N/Langinfo/Langinfo.so
_ 7f64d4a22000-7f64d4a23000 rw-p 00002000 fc:03 1968452                    /home/moznion/.plenv/versions/5.16.3/lib/perl5/5.16.3/x86_64-linux/auto/I18N/Langinfo/Langinfo.so
_ 7f64d4a23000-7f64d4a25000 r-xp 00000000 fc:03 3804728                    /home/moznion/var/www/Ukigumo-Agent/local/lib/perl5/x86_64-linux/auto/Cwd/Cwd.so
_ 7f64d4a25000-7f64d4c24000 ---p 00002000 fc:03 3804728                    /home/moznion/var/www/Ukigumo-Agent/local/lib/perl5/x86_64-linux/auto/Cwd/Cwd.so
_ 7f64d4c24000-7f64d4c25000 rw-p 00001000 fc:03 3804728                    /home/moznion/var/www/Ukigumo-Agent/local/lib/perl5/x86_64-linux/auto/Cwd/Cwd.so
_ 7f64d4c25000-7f64daab6000 r--p 00000000 fc:03 6032906                    /usr/lib/locale/locale-archive
_ 7f64daab6000-7f64daabb000 rw-p 00000000 00:00 0 
_ 7f64daac3000-7f64daac5000 rw-p 00000000 00:00 0 
_ 7ffffd734000-7ffffd749000 rw-p 00000000 00:00 0                          [stack]
_ 7ffffd7ff000-7ffffd800000 r-xp 00000000 00:00 0                          [vdso]
_ ffffffffff600000-ffffffffff601000 r-xp 00000000 00:00 0                  [vsyscall]
_ 
_ [01:16:30] ==> t/Evaluate/Module/require_explicit_package.t <============================
_ 
_   one statement before package
_   BEGIN block before package
_   inclusion before package
_   two statements before package
_   no package at all
_   no statements at all
_   just a package, no statements
_   package OK
_   programs can be exempt
_   programs not exempted
_   programs not exempted, but we have a package
_   Allow exception for specific module loads. RT \#72660
_ 
_ ok
_ ok       98 ms
_ 
_ [01:16:30] ==> t/Evaluate/Module/require_no_match_vars_with_use_english.t <==============
_ 
_   Passing with no "use English".
_   Passing single quotes.
_   Passing double quotes
_   Passing literal quotes.
_   Passing literal quotes with whitespace before delimiter.
_   Passing interpolating quotes.
_   Passing interpolating quotes with whitespace before delimiter.
_   Passing quotelike words.
_   Passing quotelike words with whitespace before delimiter.
_   Passing quotelike words with things in addition to -no_match_vars.
_   Passing parenthesized list.
_   Passing parenthesized list with things in addition to -no_match_vars.
_   Passing unparenthesized list with things in addition to -no_match_vars.
_   Passing version.
_   Passing v-string version.
_   Passing parenthesized list and version.
_   Basic failure.
_   Failure with version.
_   Failure with v-string.
_   Failure with random garbage.
_   Failure with typo that Ovid noticed.
_ 
_ ok
_ ok      112 ms
_ 
_ [01:16:30] ==> t/Evaluate/Module/require_version_var.t <=================================
_ 
_   No code
_   basic pass
_   basic pass \#2
_   basic pass \#3
_   basic pass \#4
_   basic pass \#5
_   fail with lexical
_   fail with wrong variable
_   Readonly VERSION
_   Readonly::Scalar VERSION
_   Readonly::Scalar VERSION
_   Version as argument to package. RT \#67159
_   Package without version should still be violation. RT \#67159
_ 
_ ok
_ ok      101 ms
_ 
_ [01:16:30] ==> t/Evaluate/NamingConventions/Capitalization.t <===========================
_ 
_   Basic Passes
_   Basic Failures
_   Special case: main
_   Combined passes and fails
_   Variables from other packages should pass
_   Only cares about declarations
_   Constants must be all caps, passes
_   Constants must be all caps, failures
_   PPI misparses part of ternary as a label (RT \#41170, imported from Perl::Critic)
_ 
_ ok
_ ok      103 ms
_ 
_ [01:16:30] ==> t/Evaluate/NamingConventions/ProhibitAmbiguousNames.t <===================
_ 
_   Basic failures.
_   Ambiguous word in compound name.
_   Basic passes.
_   Ambiguous name on rhs.
_   Ambiguous, but exempt by convention
_   Custom null configuration
_   Custom configuration: "foo bar baz quux"
_   Custom configuration: "foo bar baz quux"
_   Custom null configuration
_ 
_ ok
_ ok      105 ms
_ 
_ [01:16:30] ==> t/Evaluate/Objects/indirect_syntax.t <====================================
_ 
_   basic passes
_         L25: is scalar @$violations, 0;
_   basic failures
_         L43: is scalar @$violations, 6;
_   specify name of subroutine to check
_       unchecked indirect objects
_             L70: is scalar @$violations, 0;
_       checked indirect objects
_             L80: is scalar @$violations, 10;
_ 
_ ok
_ ok       92 ms
_ 
_ [01:16:30] ==> t/Evaluate/Regex/prohibit_unusual_delimiters.t <==========================
_ 
_   basic passes
_   basic failures
_   allow_all_brackets
_ 
_ ok
_ ok       94 ms
_ 
_ [01:16:30] ==> t/Evaluate/Subroutines/prohibit_ampersand_sigils.t <======================
_ 
_   basic failures
_   basic passing
_   RT \#38855 passing with parens
_   RT \#49609 recognize reference-taking distributes over parens
_   more passing
_   handle that the first bareword after "sort" is the comparator function
_ 
_ ok
_ ok       99 ms
_ 
_ [01:16:30] ==> t/Evaluate/Subroutines/prohibit_builtin_homonyms.t <======================
_ 
_   Basic failures
_   Basic passing
_   Acceptable homonyms
_ 
_ ok
_ ok       96 ms
_ 
_ [01:16:31] ==> t/Evaluate/Subroutines/prohibit_explicit_return_undef.t <=================
_ 
_   simple failure
_   simple success
_ 
_ ok
_ ok       92 ms
_ 
_ [01:16:31] ==> t/Evaluate/Subroutines/prohibit_nested_subs.t <===========================
_ 
_   Basic passing
_   Basic failure
_   Subroutine declarations inside scheduled blocks used for lexical scope restriction.
_   Scheduled blocks inside subroutine declarations.
_   Subroutine declarations inside scheduled blocks inside subroutine declarations.
_ 
_ ok
_ ok       94 ms
_ 
_ [01:16:31] ==> t/Evaluate/Subroutines/prohibit_return_sort.t <===========================
_ 
_   simple failure
_   simple success
_   when used in conjunction with wantarray()
_   "sort" used in other contexts...
_ 
_ ok
_ ok       93 ms
_ 
_ [01:16:31] ==> t/Evaluate/Subroutines/prohibit_subroutine_prototypes.t <=================
_ 
_   simple failure
_   simple success
_ 
_ ok
_ ok       90 ms
_ 
_ [01:16:31] ==> t/Evaluate/TestingAndDebugging/prohibit_no_strict.t <=====================
_ 
_   strictures disabled
_   selective strictures disabled
_   selective strictures disabled
_   allowed no strict
_   partially allowed no strict
_   partially allowed no strict
_   allow no strict, mixed case config
_   allow no strict, comma-delimimted config
_   wrong case, funky config
_ 
_ ok
_ ok       99 ms
_ 
_ [01:16:31] ==> t/Evaluate/TestingAndDebugging/prohibit_no_warnings.t <===================
_ 
_   warnings disabled
_   selective warnings disabled, regular quotes
_   selective warnings disabled, qw<>
_   allow no warnings, mixed case config
_   allow no warnings, comma delimimted
_   wrong case, funky config
_   More wrong case, funky config
_   with_at_least_one, no categories
_   with_at_least_one, one category
_   with_at_least_one, many categories, regular quotes
_   with_at_least_one, many categories, qw<>
_   allow_with_category_restriction, category qw. RT \#74647,
_ 
_ ok
_ ok      104 ms
_ 
_ [01:16:31] ==> t/Evaluate/TestingAndDebugging/prohibit_prolonged_stricture_override.t <==
_ 
_   standard pass
_   standard fail
_   pass that's almost to fail
_   in a block
_   long fail in a block
_   config override
_ 
_ ok
_ ok      101 ms
_ 
_ [01:16:31] ==> t/Evaluate/TestingAndDebugging/require_test_labels.t <====================
_ 
_   standard failures
_   name standard passing
_   name more passing
_   empty array and hash parsing
_   exceptions
_   more exceptions
_   RT 24924, is_deeply (from Perl::Critic)
_ 
_ ok
_ ok       98 ms
_ 
_ [01:16:31] ==> t/Evaluate/TestingAndDebugging/require_use_strict.t <=====================
_ 
_   one statement before strict
_   several statements before strict
_   no strict at all
_   name require strict
_   strictures used, but no code
_   no strict at all, w/END
_   no strict at all, w/DATA
_   strictures used OK
_   other module included before strict
_   package statement before strict
_   Work around a PPI bug that doesn't return a location for C<({})>.
_   Moose support
_   Moose::Role support
_   Moose::Util::TypeConstraints support
_   equivalent_modules
_   "use strict" in lexical context (BEGIN block) RT \#42310
_   "use strict" in lexical context (subroutine) RT \#42310
_   "use perl-version" equivalent to strict as of 5.011
_   "use perl-version" in lexical context
_ 
_ ok
_ ok      112 ms
_ 
_ [01:16:31] ==> t/Evaluate/TestingAndDebugging/require_use_warnings.t <===================
_ 
_   1 statement before warnings
_   several statements before warnings
_   no warnings at all
_   no warnings at all with "use 5.005"
_   no warnings at all with "use 5.006"
_   require warnings
_   warnings used, but no code
_   no warnings at all, w/END
_   no warnings at all, w/DATA
_   warnings used
_   Other module included before warnings
_   name package statement before warnings
_   Work around a PPI bug that doesn't return a location for C<({})>.
_   Moose support
_   Moose::Role support
_   Moose::Util::TypeConstraints support
_   equivalent_modules
_   "use warnings" in lexical context (BEGIN block) RT \#42310
_   "use warnings" in lexical context (subroutine) RT \#42310
_ 
_ ok
_ ok      115 ms
_ 
_ [01:16:32] ==> t/Evaluate/ValuesAndExpressions/prohibit_constant_pragma.t <==============
_ 
_   Basic passing
_   Basic failure
_ 
_ ok
_ ok       89 ms
_ 
_ [01:16:32] ==> t/Evaluate/ValuesAndExpressions/prohibit_empty_quotes.t <=================
_ 
_   Basic failure
_   Quote-like operator passing
_   Non-empty passing
_ 
_ ok
_ ok       91 ms
_ 
_ [01:16:32] ==> t/Evaluate/ValuesAndExpressions/prohibit_escaped_characters.t <===========
_ 
_   Basic passing
_   Basic failure
_ 
_ ok
_ ok       89 ms
_ 
_ [01:16:32] ==> t/Evaluate/ValuesAndExpressions/prohibit_implicit_newlines.t <============
_ 
_   Basic passing
_   Basic failure
_   Bad whitespace usage, but allowed
_ 
_ ok
_ ok       92 ms
_ 
_ [01:16:32] ==> t/Evaluate/ValuesAndExpressions/prohibit_special_heredoc_terminator.t <===
_ 
_   Basic failures
_   failures with quotes
_   outside the scope of this policy
_ 
_ ok
_ ok       92 ms
_ 
_ [01:16:32] ==> t/Evaluate/Variables/augumented_assignment_in_declaration.t <=============
_ 
_   Normal assignment ok
_         L20: is_deeply $violations, [];
_   Normal assignment with operators ok
_         L31: is_deeply $violations, [];
_   real life regression
_         L44: is_deeply $violations, [];
_   scalar augumented assignment
_         L119: is scalar @$violations, 52;
_   real life examples
_         L136: is scalar @$violations, 8;
_ 
_ ok
_ ok       98 ms
_ 
_ [01:16:32] ==> t/Evaluate/Variables/conditional_declarations.t <=========================
_ 
_   with if at post-position
_         L22: is scalar @$violations, 4;
_   with unless at post-position
_         L35: is scalar @$violations, 4;
_   with while at post-position
_         L47: is scalar @$violations, 4;
_   with for at post-position
_         L59: is scalar @$violations, 4;
_   with foreach at post-position
_         L71: is scalar @$violations, 4;
_   passing cases
_         L92: is scalar @$violations, 0;
_   local is exempt
_         L108: is scalar @$violations, 0;
_ 
_ ok
_ ok       98 ms
_ [01:16:32]
_ 
_ Test Summary Report
_ -------------------
_ t/Evaluate/Module/require_end_with_one.t                              (Wstat: 6 Tests: 0 Failed: 0)
_   Non-zero wait status: 6
_ Files=41, Tests=40,  4 wallclock secs ( 0.20 usr  0.09 sys +  3.39 cusr  0.54 csys =  4.22 CPU)
_ Result: FAIL
_ 2014-06-17T01:16:32 [INFO] [master] finished testing : 2